TANG NANO DUE ESP PI PICO
G.   Buenos Aires, Argentina
 
 
have some free vhdl code for a vga component

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;


entity VGA is
port(
clock : in STD_LOGIC;
hsync: out STD_LOGIC;
vsync: out STD_LOGIC;
R: out STD_LOGIC;
G: out STD_LOGIC;
B: out STD_LOGIC
);
end VGA;

architecture Behavioral of VGA is
signal contadorPixelClock : unsigned(12 downto 0);
signal contadorHsync : unsigned( 10 downto 0);
signal RED : STD_LOGIC;
signal GREEN : STD_LOGIC;
signal BLUE : STD_LOGIC;
signal blockvideoHsync: STD_LOGIC;
signal blockvideoVsync: STD_LOGIC;
signal vsync_int: STD_LOGIC;
signal hsync_int: STD_LOGIC;
begin
R <= RED when (blockvideoHsync AND blockvideoVsync) = '1' else '0';
G <= GREEN when (blockvideoHsync AND blockvideoVsync) = '1' else '0';
B <= BLUE when (blockvideoHsync AND blockvideoVsync) = '1' else '0';
hsync <= hsync_int;
vsync <= vsync_int;
process(clock) begin
if rising_edge(clock) then
if contadorPixelClock < to_unsigned(1023,12) then
contadorPixelClock <= contadorPixelClock + 1;
--Active video
blockvideoHsync <= '1';
hsync_int <= '1';
elsif contadorPixelClock > to_unsigned(1022,12) and contadorPixelClock < to_unsigned(1047,12) then
contadorPixelClock <= contadorPixelClock + 1;
--Front porch
blockvideoHsync <= '0';
hsync_int <= '1';
elsif contadorPixelClock > to_unsigned(1046,12) and contadorPixelClock < to_unsigned(1183,12) then
contadorPixelClock <= contadorPixelClock + 1;
--Sync pulse
blockvideoHsync <= '0';
hsync_int <= '0';
elsif contadorPixelClock > to_unsigned(1182,12) and contadorPixelClock < to_unsigned(1326,12) then
contadorPixelClock <= contadorPixelClock + 1;
--Back porch
blockvideoHsync <= '0';
hsync_int <= '1';
else
hsync_int <= '1';
blockvideoHsync <= '0';
if contadorHsync < to_unsigned(768,11) then
contadorHsync <= contadorHsync + 1;
--Active video
blockvideoVsync <= '1';
vsync_int <= '1';
elsif contadorHsync >= to_unsigned(768,11) and contadorHsync < to_unsigned(771,11) then
contadorHsync <= contadorHsync + 1;
--Front porch
blockvideoVsync <= '0';
vsync_int <= '1';
elsif contadorHsync >= to_unsigned(771,11) and contadorHsync < to_unsigned(777,11) then
contadorHsync <= contadorHsync + 1;
--Sync Pulse
blockvideoVsync <= '0';
vsync_int <= '0';
elsif contadorHsync>= to_unsigned(777,11) and contadorHsync < to_unsigned(804,11) then
contadorHsync <= contadorHsync + 1;
--Back porch
blockvideoVsync <= '0';
vsync_int <= '1';
else
vsync_int <= '1';
contadorHsync <= (others => '0');
blockvideoVsync <= '0';
end if;
contadorPixelClock <= (others => '0');
end if;
end if;
end process;
process(clock) begin
if rising_edge(clock) then
if contadorPixelClock < to_unsigned(341,12) then
RED <= '1';
else
RED <= '0';
end if;
if contadorPixelClock >= to_unsigned(341,12) and contadorPixelClock < to_unsigned(684,12) then
GREEN <= '1';
else
GREEN <= '0';
end if;
if contadorPixelClock >= to_unsigned(684,12) and contadorPixelClock < to_unsigned(1023,12) then
BLUE <= '1';
else
BLUE <= '0';
end if;
end if;
end process;
end Behavioral;

На линия
G
G
Изложение на постижения
Изложение на „Перфекционист“
Скорошна дейност
115 изиграни часа
последно пускане 9 март
418 изиграни часа
последно пускане 9 март
3,5 изиграни часа
последно пускане 6 март
Fenrrio 15 окт. 2023 в 22:10 
🟨🟨🟨⬛
🟨🟨🟨🟨⬛
🟨🟨🟨🟨🟨⬛
🟨🟨🟨🟨🟨🟨⬛⬛
🟨🟨⬛⬛⬛⬛⬜⬜⬛
🟨🟨⬛⬜⬜⬛⬜⬜⬜⬛
🟨⬛⬜⬜⬜⬜⬛⬜⬛⬜⬛
🟨⬛⬜⬜⬛⬜⬜⬛⬛Hola
🟨🟨⬛⬜⬜⬜⬜⬛🟨⬛
🟨🟨🟨⬛⬛⬛🟨🟨🟨⬛
🟨🟨🟨🟨🟨🟨🟨⬛⬛⬛
🟨🟨🟨🟨🟨⬛⬛⬛⬛⬛
🟨🟨🟨🟨⬛⬛⬛🟫🟫⬛
🟨🟨🟨⬛🟫🟫🟫🟫🟫⬛
🟨🟨⬛🟫🟫🟫🟫🟫🟫🟫⬛
🟨🟨⬛🟫⬛🟫🟫🟫🟫⬛
🟨🟨⬛🟫⬛⬛⬛⬛⬛
🟨🟨⬛🟫🟫🟫⬛
🟨🟨🟨⬛🟫🟫
TANG NANO DUE ESP PI PICO 11 юли 2023 в 20:42 
with that disappointment lets get back to the tent *grand tour theme starts*
ELSA CAPUNTA 20 февр. 2022 в 15:38 
jaja lo abrocharon
TANG NANO DUE ESP PI PICO 20 февр. 2022 в 15:03 
NOOOOOOOOOOOOOOOOOOOOOOOOO
Gato.rar 19 февр. 2022 в 23:43 
che gus. el culo te abrocho, mira tus años de servicio
Gato.rar 14 ян. 2022 в 10:59 
this profile is forklift certified